Renowned German Research Organization Selects Veeco's Atomic Layer Deposition System to Drive Renewable Energy Innovation

Veeco Instruments Inc. today announced that The Sharp Group at Germany’s Walter Schottky Institute (WSI) has taken delivery of Veeco’s Fiji® F200™ Plasma-Enhanced Atomic Layer Deposition (PE-ALD) system.

유명한 독일 연구 기관, 재생 에너지 혁신을 추진하기 위해 Veeco의 원자층 증착(ALD: Atomic Layer Deposition) 시스템 선택

뉴스 | Mar 14, 2019

The Sharp Group at Walter Schottky Institute Adopts Veeco’s Fiji F200 ALD System for Advancement of Functional Semiconductors and Catalysts

PLAINVIEW, New York — Veeco Instruments Inc. today announced that The Sharp Group at Germany’s Walter Schottky Institute (WSI) has taken delivery of Veeco’s Fiji® F200™ Plasma-Enhanced Atomic Layer Deposition (PE-ALD) system. As a leader in the fabrication and characterization of functional semiconductors and catalysts, The Sharp Group will leverage the Fiji system as an integral part of its discovery and material development efforts for applications in renewable energy conservation.

“The development of renewable energy solutions calls for increasingly complex thin films and nanostructures, and to advance our work in this area requires an approach that is adaptable for a wide range of deposition techniques,” said Professor Ian Sharp, Ph.D., head of The Sharp Group at WSI. “Veeco not only has the requisite technical expertise but also offers invaluable scientific support. With the Fiji ALD system purchase, we’ve made a valuable and long-lasting partnership.”

The Fiji system is a flexible, single-wafer ALD reactor designed to deliver best-in-class performance for both thermal and plasma-enhanced ALD processes. Its demonstrated ability to precisely control the composition, structure and phase of multicomponent materials is critical to The Sharp Group to accelerate energy conversion mechanisms and direct function properties. Veeco has installed over 550 ALD systems, more than any other R&D supplier, at leading universities and customer facilities worldwide. Backed by Veeco’s global service team, the company’s ALD portfolio includes the Fiji, Savannah®, Phoenix® and Firebird™ systems to support a full range of R&D and production applications.

“New materials engineering challenges and applications arise every day, making Veeco’s ALD platforms ideal for those seeking the most versatile plasma-enhanced systems and thermal tools available,” added Ganesh Sundaram, Ph.D., vice president of applied technology for Veeco’s ALD group. “We anticipate an extremely productive collaboration with Professor Sharp and his team at WSI as they develop new technologies for sustainability and energy innovation.”

Veeco will exhibit and present at the EFDS ALD for Industry 2019 conference, March 19-20 in Berlin, Germany.  Dr. Ganesh Sundaram’s workshop presentation, “ALD Use for Decorative Applications,” is scheduled for 11:10 a.m. CET on Wednesday, March 20, 2019.

Veeco 소개

Veeco (NASDAQ: VECO) is a leading manufacturer of innovative semiconductor process equipment. Our proven MOCVD, lithography, laser annealing, ion beam and single wafer etch and clean technologies play an integral role in producing LEDs for solid-state lighting and displays, and in the fabrication of advanced semiconductor devices. 성능, 산출량, 소유 총비용을 최대화하기 위해 설계된 장비를 제공하는 Veeco는 이러한 시장에서 기술적 선구자 역할을 합니다. To learn more about Veeco’s innovative equipment and services, visit www.veeco.com.

Media Contact: David Pinto |  +1-408-325-6157 | dpinto@veeco.com

Recent Posts

Veeco는 HDD 제조 생산성을 한 차원 높여 주는 업계 최고의 기업입니다.

Leading Semiconductor Innovator Chooses Veeco to Accelerate Next-Generation Advanced Packaging Applications

자세히 보기

Veeco, 4분기 및 2023년 재무 성과 보고

자세히 보기

Veeco Ships GEN20-Q MBE System to Leading Semiconductor and Opto-Electronic Company

자세히 보기

Veeco Announces Date for Fourth Quarter and Full-Year 2023 Financial Results and Conference Call

자세히 보기

당사의 팀에서 언제든 도와드립니다.